Saltar al contenido

la revolución de los chiplets

Loïc Hamon
16 de enero de 2024
capgemini-engineering

Transformando el entorno de los semiconductores para crear oportunidades sin precedentes.

La industria de semiconductores se encuentra al borde de una transformación profunda, gracias a la llegada de una tecnología revolucionaria: los chiplets.

A lo largo de su historia, este sector ha buscado la integración y miniaturización. Sin embargo, los costes y la creciente complejidad asociada a los Circuitos Integrados (CI) de vanguardia han llevado al enfoque alternativo de los los chiplets.

La mayoría de los chips contemporáneos están diseñados como un SoC monolítico (System-on-Chip), integrando todas las funciones esenciales, como los núcleos del procesador, aceleradores de hardware específicos, memoria e interfaces, en un único circuito integrado en una pieza de semiconductores.

Los chiplets cambian las reglas del juego. Consisten en una placa semiconductora autónoma que, combinada con otras placas mediante técnicas avanzadas de empaquetado, forma un circuito integrado complejo similar a un circuito integrado monolítico. Este enfoque modular mejora la escalabilidad, la rentabilidad y el rendimiento. También permite integrar diversas funciones, como el procesamiento de propósito general, el procesamiento de dominios específicos y la memoria en un único sistema, superando así algunas limitaciones de los diseños monolíticos tradicionales.

El enfoque chiplet no sólo aborda los retos del aumento de los costes y las complejidades, sino que también ofrece una flexibilidad sin precedentes. Los diseños heterogéneos de chiplets permiten crear soluciones a medida para aplicaciones o segmentos de mercado específicos. Los proveedores de soluciones pueden modificar o añadir los chiplets necesarios sin alterar el sistema global, lo que reduce los costes de desarrollo y acelera el time-to-market, ya que los esfuerzos de rediseño sólo afectan al encapsulado o a las placas adicionales específicas del dominio, no a todo el chip.

Sigue habiendo retos cruciales en el ámbito de los chiplets, como la gestión energética y térmica. Para gestionar estos aspectos sin contratiempos en todos los chiplets integrados se necesita un apoyo eficaz de múltiples proveedores. La estandarización de interfaces y pruebas será vital para garantizar una integración fluida, aunque, en particular, organizaciones como Open Compute Project y UCIe (Universal Chiplet Interconnect Express) ya han publicado especificaciones de código abierto sobre las características de interconexión de los chiplets.

Gigantes de los semiconductores como Intel, Nvidia y AMD se han apresurado a adoptar la tecnología de chiplets, demostrando con éxito su viabilidad en la fabricación, las pruebas y el empaquetado. A medida que la adopción de chiplets gana impulso, se está poniendo en marcha el desarrollo de un ecosistema de proveedores para atender sus necesidades en áreas como el packaging y la gestión térmica. Esto facilitará una implantación más generalizada en toda la industria, trascendiendo la adopción y reduciendo la excesiva dependencia de unos pocos actores.

La creciente popularidad de los diseños de chiplets ha despertado el interés de toda la cadena de valor de los semiconductores, incluidos los proveedores de propiedad intelectual (IP) y de automatización del diseño electrónico (EDA).

Más allá de las principales compañías de semiconductores, el enfoque del chiplet presenta oportunidades para las firmas de diseño y los proveedores de servicios de semiconductores como Capgemini. Las placas chiplet de propósito general desarrolladas en colaboración pueden servir para una amplia gama de aplicaciones sectoriales, por ejemplo, para un consorcio de empresas automovilísticas que prestan servicios digitales en sus vehículos. Además, los chiplets de dominio específico o las placas personalizadas pueden adaptarse para satisfacer requisitos específicos.

En conclusión, los chiplets representan una alternativa flexible, adaptable y rentable a los diseños monolíticos tradicionales. Con su potencial para revolucionar el diseño, el empaquetado y la integración de chips, el paradigma de los chiplets está llamado a redefinir el escenario de los semiconductores, impulsando la innovación y la eficiencia en toda la industria.

Autor

Loïc Hamon

Head of Center of Excellence Silicon Engineering, Capgemini 
Loïc Hamon es Director del Centro de Excelencia de Ingeniería de Silicio en Capgemini. Su pasión es ampliar la capacidad de ingeniería en este ámbito en la compañía. Antes de trabajar en Capgemini, Loïc fue Vicepresidente de Desarrollo Corporativo y Marketing Estratégico en Kalray. Loïc Hamon también es miembro independiente del Consejo de Administración de Silex Insight desde 2018. Tiene un máster en Inteligencia de Marketing por la Escuela de Administración HEC de París, un máster en Ingeniería Eléctrica por ESIGELEC en Rouen y un posgrado en Microelectrónica por la Universidad París XI.